Toybrick

遇到调用mpp库失败问题,提示cannot locate symbol

newneu

新手上路

积分
43
发表于 2020-10-12 14:00:36    查看: 5745|回复: 2 | [复制链接]    打印 | 显示全部楼层
我们在Android Studio中尝试使用libiep.so进行图像增强加速处理时需要调用libvpu.so,然后libvpu.so又需要调用libmpp.so。此时遇到了调用失败问题:编译可以通过,但当执行时提示dlopen failed:cannot locate symbol,如附图所示。
我们尝试采用libmpp.a仍然不行,报错与libmpp.so一样。
在网上查阅相关资料,试验了调整库的链接顺序等,都没有解决。同时也确认了libmpp.a中应该存在mpp_buffer_get_fd。
请帮忙提供一些解决问题的思路,谢谢!
回复

使用道具 举报

newneu

新手上路

积分
43
 楼主| 发表于 2020-10-12 14:10:44 | 显示全部楼层
   
   
    --------- beginning of crash
2017-08-05 20:51:38.324 3715-3715/com.example.rknndemo E/AndroidRuntime: FATAL EXCEPTION: main
    Process: com.example.rknndemo, PID: 3715
    java.lang.UnsatisfiedLinkError: dlopen failed: cannot locate symbol "mpp_buffer_get_fd" referenced by "/data/app/com.example.rknndemo-ROhcNcdPgbzupT7eXouX0A==/lib/arm64/libvpu.so"...
        at java.lang.Runtime.loadLibrary0(Runtime.java:1016)
        at java.lang.System.loadLibrary(System.java:1657)
        at com.example.videoehance.VideoEhancer.<clinit>(VideoEhancer.java:19)
        at com.example.rknndemo.MainActivity.<init>(MainActivity.java:42)
        at java.lang.Class.newInstance(Native Method)
        at android.app.Instrumentation.newActivity(Instrumentation.java:1174)
        at android.app.ActivityThread.performLaunchActivity(ActivityThread.java:2669)
        at android.app.ActivityThread.handleLaunchActivity(ActivityThread.java:2856)
        at android.app.ActivityThread.-wrap11(Unknown Source:0)
        at android.app.ActivityThread$H.handleMessage(ActivityThread.java:1589)
        at android.os.Handler.dispatchMessage(Handler.java:106)
        at android.os.Looper.loop(Looper.java:164)
        at android.app.ActivityThread.main(ActivityThread.java:6494)
        at java.lang.reflect.Method.invoke(Native Method)
        at com.android.internal.os.RuntimeInit$MethodAndArgsCaller.run(RuntimeInit.java:438)
        at com.android.internal.os.ZygoteInit.main(ZygoteInit.java:807)
2017-08-05 20:51:38.329 456-641/system_process W/ActivityManager:   Force finishing activity com.example.rknndemo/.MainActivity
2017-08-05 20:51:38.332 3715-3715/? I/Process: Sending signal. PID: 3715 SIG: 9
回复

使用道具 举报

newneu

新手上路

积分
43
 楼主| 发表于 2020-10-12 14:18:03 | 显示全部楼层
由于上传图片遇到问题,我直接在回复中粘贴了报错的日志。
回复

使用道具 举报

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

产品中心 购买渠道 开源社区 Wiki教程 资料下载 关于Toybrick


快速回复 返回顶部 返回列表